Reactive ion etching of silicates for microsystems technology

By Christoph Weigel Technische Universität Ilmenau, Institute of Micro- und Nanotechnologies MacroNano®

Based on previous work and our open access review paper:

Weigel, Christoph; Brokmann, Ulrike; Hofmann, Meike; Behrens, Arne; Rädlein, Edda; Hoffmann, Martin et al. (2021): Perspectives of reactive ion etching of silicate glasses for optical microsystems. In: J. Optical Microsystems 1 (04). DOI: 10.1117/1.JOM.1.4.040901.

Silicates represent a well established class of materials that cover, depending on composition and processing, a wide range of intrinsic material properties. They are therefore highly interesting also for microsystems in various domains such as mechanical, fluidic, optical as well as biochemical applications. For example, glass as an optical material has outstanding optical properties and allows high refractive indices without light scattering and haze at a high solarization stability. This enables micro-optical diffractive and refractive microsystems in a high quality, but requires tailored pattering processes. Substrate-based fabrication with an accuracy in a single-digit micrometer range and the respective reproducibility can be achieved by using high-resolution UV lithography and deep reactive ion etching as already demonstrated in complex glass and glass ceramics [1,2]. Nanoimprint techniques in combination with reactive ion etching can be used as well if highly ordered glass nanostructures are targeted [3]. Vertical sidewalls are particularly important for applications in microoptics and precision measurement technology. Optically sharp edges of structures can be reached by anisotropic etching, which enhances the overall measuring accuracy and decreases the measurement errors. The ability to create highly anisotropic structures enables furthermore an increase in structural resolution. Vertical sidewalls and high etching depths are fostering the scope of applications. Typical applications comprise, for instance, microfluidics and monolithically integrated optical systems with lateral beam injection [4]. Here, we present results that pave the way for the extension of RIE processes for innovative 3D patterning as well as for the processing of chemically and structurally inhomogeneous silicate-based substrates especially for microoptical applications [5].

2.5D and 3D microoptical systems in silicates

Various applications in the field of micro-optical systems define the requirements for both the quality of the etched structures and their geometrical shape. Thus, it is possible to achieve optical 3D functionalities with reactive ion etching processes in combination with other technologies of microsystems engineering. Achieving 2.5D and 3D functionalities requires the specific combination of material and (RIE) process. This is presented in an overview in Figure 1.

In the following, some examples of implemented micro-optical systems in glass are considered, showing the way from 2.5D to 3D functionalities and shown in Figure 2. Diffractive and refractive micro-optical systems are subjects of current research and require etching processes adapted to both the material and the application. Tailored RIE processes allows high-quality 2.5D diffractive optical elements (DOE) with precise aligned step profiles with low surface roughnesses etched in fused silica.

In-plane refractive systems can be realized by deep etching of glass with vertical sidewalls with a low surface roughness. Such a microoptical system is exemplarily shown in the second example of Figure 2 (b), where serval in-plane optical functionalities integrated in one deep etched structure with an etch depth of about 100 μm and an arithmetic mean roughness of the sidewall of about 7.2 nm. This technology also enables the use of silicates for a wide range of applications in the field of microfluidics up to exposed micromechanical elements, which has already been demonstrated for released spiral coils in the low-expansion material Zerodur [6].

Planar lithographic fabrication techniques offer ultraprecise alignment for micro-optical applications, but in general 3D beam shaping is required for flexible optical systems design. This cannot be achieved with 2.5D (deep) structuring only and requires variable optical properties in the vertical dimension, which can be realized by gradient index (GRIN) systems (see Figure 2 (c)) [5,7]. So-called hybrid GRIN lenses using different mechanisms for light deflection in vertical and horizontal directions are feasible with optimized RIE structuring and are suited, e.g., to wafer-level coupling of optical and optoelectronic components. To this end, a sequential approach for the well-defined deposition of thin layers with slightly varying material composition has been demonstrated and combined with the planar RIE approach. This planar stack of materials effectively forms a one-dimensional GRIN material in which the light propagation perpendicular to the substrate is determined through the refractive index variation. 3D optical functionality can thus be reached with 2.5D structuring methods.

Another approach for an additional degree of freedom is available during the fabrication process of 3D profiles for optical microsystems in the material silicon [5]. The integration of an oxidation step for material conversion from Si toSiO2 turns out to be highly beneficial for the fabrication of well-defined 3D optical microstructures such as optical microresonators with high Q-factors. The concept is to use the well established 2.5D micromachining of silicon for determining the overall shape of the resonator. A suitably integrated oxidation step then allows the resonator layer to be converted into the dielectricSiO2 layer. The annealing process coming along with the oxidation guarantees extremely smooth sidewalls of the resonator layer, which is reflected in the high Q-factors that could be demonstrated for the optical elements.

Complex silicate materials and future research activities

The use of optical glass with customizable properties can further enhance the optical performance. The potential to adapt RIE etching processes to the ultraprecise microstructuring of a large variety of silicate-based dielectric substrates with different optical properties marks a tremendous step that offers increased design flexibility for optical microsystems. Complex materials like alkali-alumo-silicates or ultra low expansion glass-ceramics are complex in composition and structure (e.g. Zerodur). During the etching, a large amount of non-volatile reaction products is generated. In particular, the metal fluorides (e.g.,AlF3,NaF2, and more) do not reach a sufficiently high vapor pressure at the surface conditions and thus accumulate on the substrate surface (see Figure 3). A good comparison can be made when directly comparing the two low-expansion materials Zerodur and ULE [5, 8]. Whereas ULE glass is a single-phase vitreous material consisting ofSiO2 andTiO2, Zerodur is complex material as well in composition and material structure. By using of optimized process conditions, the etching of ULE glass generates only volatile reaction products. Thus, the ULE structuring is comparable to fused silica, whereas Zerodur shows lower etch rates, lower sidewall angles and higher roughnesses. Due to its higher refractive index (nd = 1.4828) and lower Abbe number (νd = 53.1) as compared with fused silica, ULE glass offers further design options for micro-optical applications (e.g., refractive functional structures such as dispersion prisms). The material structure also proves to be a significant influencing factor. This is shown by studies on the plasma structuring of photostructurable glass, where a simultaneous etching of the vitreous and glass-ceramic phase is different by the same chemical composition.

Nevertheless, numerous challenges and potential for future research activities remain, specifically for knowledge-based etching of complex silicate materials (glasses, glass ceramics), which are of special interest for optical microsystems. Although many of the results achieved so far are still gained through experience-based optimization of the suitable processing parameters, in many cases the understanding of the underlying chemical and physical processes during the interaction of the plasma and the silicate substrates is still fragmentary. Due to the huge potential of 3D micro- and nanostructures fabricated in this class of materials with flexibly tunable material properties (cf. the numerous types of optical glasses), it is easy to foresee tremendous interdisciplinary scientific potential for this area. Future research activities need to deepen the understanding and technical control of glass etching for optical microsystems. This includes, first, the in-situ observation of chemical and physical processes within the plasma and at the glass–plasma/mask–plasma interface.

Figure 1: Overview of the process flow for the generation of 2D and 3D micro-optical structures [5]
Figure 2: Overview of micro-optical systems generated in glass, (a) diffractive optical elements, (b) deep etched in-plane refractive microoptical systems, (c) gradient index lenses for 3D beam shaping, (d) 3D microoptical resonators, adapted from [5]
Figure 3: Classification of possible reaction products for etching complex glasses into volatile, non-volatile, and transition reaction products depending on applied process pressure and surface temperature ranges [5]

References

[1] Weigel, Christoph; Sinzinger, Stefan; Hoffmann, Martin: Comparison of Deep Etched Borosilicate Glasses in a Fluorine Based Plasma. In: TRANSDUCERS & EUROSENSORS XXXIII 2019, S. 1678–1681.

[2] Weigel, Christoph; Schulze, Marcel; Gargouri, Hassan; Hoffmann, Martin (2018): Deep etching of Zerodur glass ceramics in a fluorine-based plasma. In: Microelectronic Engineering 185-186, S. 1–8. DOI: 10.1016/j.mee.2017.10.013.

[3] Si, Shuhao; Weigel, C.; Messerschmidt, M.; Thesen, M. W.; Sinzinger, S.; Strehle, S. (2020): A study of imprint and etching behavior on fused silica of a new tailored resist mr-NIL213FC for soft UV-NIL. In: Micro and Nano Engineering 6, S. 100047. DOI: 10.1016/j.mne.2020.100047.

[4] Weigel, Christoph; Markweg, Eric; Müller, Lutz; Schulze, Marcel; Gargouri, Hassan; Hoffmann, Martin (2017): A monolithic micro-optical interferometer deep etched into fused silica. In: Microelectronic Engineering 174, S. 40–45. DOI: 10.1016/j.mee.2017.01.002.

[5] Weigel, Christoph; Brokmann, Ulrike; Hofmann, Meike; Behrens, Arne; Rädlein, Edda; Hoffmann, Martin et al. (2021): Perspectives of reactive ion etching of silicate glasses for optical microsystems. In: J. Optical Microsystems 1 (04). DOI: 10.1117/1.JOM.1.4.040901.

[6] Weigel, Christoph; Sinzinger, Stefan; Hoffmann, Martin (2018): Deep etched and released microstructures in Zerodur in a fluorine-based plasma. In: Microelectronic Engineering 198, S. 78–84. DOI: 10.1016/j.mee.2018.07.004.

[7] Markweg, E.; Hillenbrand, M.; Sinzinger, S.; Hoffmann, M.: Planar plano-convex microlens in silica using ICP-CVD and DRIE. In: Proc. SPIE 8550, 85500T (2012), 85500T. DOI: 10.1117/12.981266.

[8] Weigel, Christoph; Phi, Hai Binh; Denissel, Felix Arthur; Hoffmann, Martin; Sinzinger, Stefan; Strehle, Steffen (2021): Highly Anisotropic Fluorine‐Based Plasma Etching of Ultralow Expansion Glass. In: Adv. Eng. Mater. 23 (6), S. 2001336. DOI: 10.1002/adem.202001336.

   

Der Beitrag ist stark an unserem Open Access Review Artikel angelehnt, den wir im Dezember letzten Jahres veröffentlich und im Detail diskutiert haben:Weigel, Christoph; Brokmann, Ulrike; Hofmann, Meike; Behrens, Arne; Rädlein, Edda; Hoffmann, Martin et al. (2021): Perspectives of reactive ion etching of silicate glasses for optical microsystems. In: J. Optical Microsystems 1 (04). DOI: 10.1117/1.JOM.1.4.040901